用VerilogHDL实现I2C总线功能

简述了i2c总线的特点;介绍了开发fpga时i2c总线模块的设计思想;给出并解释了用verilog hdl实现部分i2c总线功能的程序,以及i2c总线主从模式下的仿真时序图。

  关键词:i2c总线 fpga verilog hdl 时序

  开发fpga时,利用eda工具设计芯片实现系统功能已经成为支撑电子设计的通用平台,并逐步向支持系统级的设计方向发展。在软件设计过程中,越来越强调模块化设计。i2c总线是philips公司推出的双向两线串行通讯标准,具有接口线少、通讯效率高等特点。把i2c总线设计成相应的模块,有利于相关fpca的开发。 目前有一些介绍相关开发的资料,但都是利用vhdl语言或ahdl语言实现的。本文给出利用verilog hdl语言设计的i2c总线模块。

  1 i2c总线概述

  i2c总线系统由两根总线即scl(串行时钟)线和sda(串行数据)线构成。这种总线可以设计成很多种通讯配置,但本文只讨论主从系统的应用。主器件控制总线通讯,开始/结束传送、发送信息并产生i2c系统时钟。在写操作过程中,从器件一旦被主控器件寻址,就执行特定的相应功能。在读操作过程中,主控器件从从器件那里获得数据。在整个主从传送过程中,所有的事件都通过主控器件的scl时钟线达到同步。连到总线上的器件的接口形式必须是漏极开路或集电极开路输出状态。通过上拉电阻,使得两根总线在空闲的状态下都为高电平状态。因此i2c总线上具有线与功能,即总线上的所有器件都达到高电子状态时,i2c总线才能达到高电平状态,从而使总线上的高速器件和慢速器件工作同步。

  在i2c协议中,从器件地址是一个唯一的7位地址。接下来是一个读写方向标志位,读状态是高电平、写状态是低电子。

  2 i2c模块的设计与实现

  根据i2c协议中传输过程的特点,i2c模块可以划分为字节发送模块、字节接收模块、开始条件模块、停止条件模块。其中,字节发送模块、字节接收模块和停止条件模块为基本模块。在开始条件模块中,因为需要发送从器件地址,所以要调用字节发送模块。

  下面给出用verilog hdl语言实现字节发送模块的关键程序。相关变量的声明在此略去。程序在max+plusii环境下编译、调试、仿真。

  assign en_sdao=tempen_sdao;//设置sda三态输出使能

  assign send_byte_over=tempsend_byte_over;

  assign no_ack=tempno_ack;

  assign sdao=tempsda;

  assign sclo=tempscl;

  always@(posedge send_byte_clk)

  begin

  case(send_byte_zt)

  sendbit 1:

  begin

  if(send_byte_num==0)

  begin

  shiftdata[7:0]=indata[7:0];

  end

  shiftdata=shiftdata<<1;

  tempsda=shiftdata[8];

  tempscl=1; //置scl为高电平

  send_byte_zt=delay_1;

  send_byte_num=send_byte_num+1;

  end

  delay_1: //延时三个周期

  beg

  • 用VerilogHDL实现I2C总线功能已关闭评论
    A+
发布日期:2019年07月02日  所属分类:参考设计